算术逻辑单元----2018-04-03 05:38:19

in #votetagofcpz86 years ago

算术逻辑单元(arithmetic and logic unit) 是能实现多组算术运算和逻辑运算的组合逻辑电路,简称ALU。
----2018-04-03 05:38:19

Coin Marketplace

STEEM 0.19
TRX 0.14
JST 0.030
BTC 64647.65
ETH 3473.91
USDT 1.00
SBD 2.50